Introducing Fusion Compiler & Design Compiler NXT: Synopsys' Digital Toolset Evolution

Synopsys Editorial Staff

Nov 06, 2018 / 1 min read

Fusion Compiler and Design Compiler NXT

Announcing a new era in digital implementation with Fusion Compiler and Design Compiler NXT at the center of the next generation of Synopsys digital design.


Transcript:

Synopsys digital design tools have maintained their market leadership for decades by enabling our customers to achieve the highest productivity while optimizing for best power, performance, area, and yield. In 2000, we started bringing the tools required for IC design together by creating value links focused on correlation between tools and eventually a platform solution with a smooth handoff between design steps and good design closure predictability.

As design process nodes continue to advance, the device physics, yield, power, and timing performance are becoming more and more closely related. This has moved us to think about IC design holistically by blurring the boundaries and traditional handoffs between the various design stages and tools to enable a more optimized and integrated flow.

The next evolution of the Synopsys digital tool set is a new platform offering and the introduction of two new products.

Design Compiler NXT

  • Builds on our design compiler franchise to enable synthesis below the 5-nanometer process node with a seamless no-bridge-crossing upgrade from existing design flows.
  • Delivers faster runtimes, best-in-class correlation to IC Compiler II, and raises the quality of results bar even higher than the mark that DC already set for the industry today.

Fusion Compiler

  • The new frontier for synthesis and place and route.
  • A single product offering a bidirectional marginless design flow to achieve highest PPA.
  • The first vertically integrated RTL to GDS solution with shared engines on a common data model, delivering a fully correlated and convergent flow.

We are thrilled to bring to our customers our multiyear innovation investment of the Fusion Design Platform to help you achieve new levels of productivity and end product differentiation, and usher us into a new era of semiconductor design transformation.

Synopsys Design Tools

Quickly develop advanced digital, custom, and analog/mixed-signal designs with the best power, performance, area, and yield.

Continue Reading