Understanding Predictive RTL Design Closure with RTL Architect

Shankar Krishnamoorthy

Mar 16, 2020 / 2 min read

Shankar Krishnamoorthy, SVP of Engineering, discusses the genesis of RTL Architect, Synopsys' predictive RTL design closure solution.


Transcript:

I'm Shankar Krishnamoorthy with the design group at Synopsys. At Synopsys, we drive product innovation through deep partnerships with our customers. We have consistently heard from our advanced node customers that achieving PPA (Power, Performance, and Area) goals at smaller nodes is getting much harder. Due to this phenomenon, front-end teams are under a lot of pressure to rapidly explore domain-specific architectures and dramatically improve RTL (Register-Transfer Level) quality for PPA gains.

However, this process is not efficient because front-end RTL developers are lacking a platform or a workbench to accurately measure the PPA implications of their RTL modifications. As the leading provider of design implementation tools in the EDA (Electronic Design Automation) industry, our customers drove us with two key requirements:

  1. Enable RTL designers to develop and validate RTL PPA quality in a fast and accurate manner without having to wait for physical design team feedback.
  2. Ensure that decisions made by RTL designers track closely with the downstream implementation flows.

We are excited to announce the Synopsys RTL Architect product. This is the industry's first RTL design closure system that reduces RTL development cycles by 2x and de-risks the downstream implementation. It ensures simply better RTL.

To build RTL Architect, we leaned heavily on our learnings and key engines from Fusion Compiler, the industry's leading RTL to GDS (Graphic Data System) solution. RTL Architect uses a fast implementation prediction engine derived from Fusion Compiler that enables RTL designers to predict power, performance, area, and congestion impact of their RTL changes. The unified RTL environment also delivers hierarchical floor planning with unmatched capacity, RTL restructuring, constraints management, and cross-probing facilities to provide early insights into key quality metrics such as timing, congestion, and power.
RTL Architect is built on the unique Fusion Design Platform, directly leveraging Synopsys' world-class implementation and signoff solutions to deliver results that correlate by construction. We welcome our customers to explore the capabilities of RTL Architect and look forward to continuing our deep collaboration with them.

Thank you.

Synopsys Design Tools

Quickly develop advanced digital, custom, and analog/mixed-signal designs with the best power, performance, area, and yield.

Continue Reading